Отправить свою хорошую работу в базу знаний просто. Используйте форму, расположенную ниже

Студенты, аспиранты, молодые ученые, использующие базу знаний в своей учебе и работе, будут вам очень благодарны.

БЕЛОРУССКИЙ ГОСУДАРСТВЕННЫЙ УНИВЕРСИТЕТ ИНФОРМАТИКИ И РАДИОЭЛЕКТРОНИКИ

Кафедра ЭТТ

"Встроенный контроль и диагностика цифровых

устройств. Методы повышения контролепригодности

цифровых устройств"

МИНСК, 2008

Качество контроля и диагностики зависит не только от технических характеристик контрольно-диагностирующей аппаратуры, но и в первую очередь от тестопригодности (контролируемости) самого испытываемого изделия. Это означает, что качество проверки во многом предопределяется качеством разработки изделий. Простейшее решение повышения качества контроля - это вывод некоторых внутренних точек изделия на внешний разъем. Однако число свободных контактов на разъеме ограничено, поэтому указанный подход редко оказывается доступным или достаточно эффективным. Более приемлемое решение связано с размещением на плате дополнительных функциональных элементов, предназначенных для непосредственного получения или накопления информации о состоянии внутренних точек и последующей ее передачи на обработку по требованию анализирующего устройства (внешнего или также встроенного).

Сигналы, возникающие в процессе функционирования основной и контрольной аппаратуры, размещенной вместе на одном печатном модуле или кристалле ИС, сопоставляются по определенным правилам. В результате такого сопоставления вырабатывается информация о правильном функционировании контролируемого узла. В качестве избыточной аппаратуры может быть использована полная копия проверяемого узла (рис.1, а). При этом производиться простейшее сравнение двух одинаковых наборов кодов. С целью уменьшения объема дополнительной контрольной аппаратуры используют более простые контрольные устройства с избыточным кодированием (рис.1, б), но зато при этом усложняются способы получения контрольных соотношений.

Рис. 1. Схемы встроенного контроля с избыточным дублированием аппаратурной части (а) и с избыточным кодированием операций:

ОУ - основное устройство; КУ - контрольное устройство;

УС - устройство сравнения; УК - устройство кодирования:

УОКК - устройство обработки контрольных кодов;

УД - устройство декодирования; Z - сигнал ошибки.

Избыточное кодирование основывается на введении во входной, обрабатываемый и выходной информационный сигнал дополнительных символов, которые вместе с основными образуют коды, обладающие свойствами обнаружения или исправления ошибок.

В качестве примера встроенного контроля с избыточным кодированием рассмотрим один из методов контроля передачи информации: к группе информационных разрядов, представляющих собой простой (т. е. неизбыточный) код добавляется один избыточный (контрольный) разряд, несущий информацию о четности и нечетности передаваемой информации. Значение разряда четности равно), если число единиц в передаваемом коде четное и 1, если число единиц нечетное (рис.2).

При передаче информации слово передается со своим контрольным разрядом. Если приемное устройство обнаруживает, что значение контрольного разряда не соответствует четности суммы единиц слова, то это воспринимается как признак ошибки в линии передачи информации.

Рис. 2. Передача информации с контрольным разрядом: если Z=0, то информация передается без ошибки; если Z=1, то информация передается неверно; n - число основных каналов; n+1 - дополнительный контрольный разряд.

По нечетности контролируется полное пропадание информации, так как кодовое слово, состоящее из нулей, относится к запрещенным.

Этот метод применяют в микропроцессорных системах для контроля передач информации между регистрами, считывания информации в ОЗУ, обменов между устройствами. Магистрали передач данных составляют от 60 до 80% всех аппаратных средств МПС. Поэтому использование контроля по четности позволяет существенно повысить надежность операций передачи информации.

Рис. 3. Схема контроля четности-нечетности 8-миразрядной шины пирамидального типа на двухвходовых логических элементах "исключающее ИЛИ"

Другим примером могут явиться итеративные коды. Их применяют при контроле передач массивов кодов между внешним ЗУ и ЭВМ, между двумя ЭВМ и других случаях. Итеративный код образуется путем добавления дополнительных разрядов по четности к каждой строке и каждому столбцу передаваемого массива слов(двумерный код). Кроме того, четность может определяться и по диагональным элементам массива слова(многомерный) код. Обнаруживающая способность кода зависит от числа дополнительных контрольных символов. Он позволяет обнаружить многократные ошибки и прост в реабилитации.

К простейшим аппаратным способам встроенного контроля относится способ дублирования схем и сравнения выходных сигналов этих схем (рис.3). Этот метод легко можно применить для проверки любой схемы. Кроме тог, он обладает преимуществом, что может обнаружить любую функциональную ошибку, появляющуюся в схеме. Недостатком метода является во-первых - увеличение затрат на резервирование и, во-вторых - не исключение собственных ошибок резервной контрольной аппаратуры.

Несколько снизить затраты на аппаратное дублирование цифровых схем можно путем использования так называемой двухпроводной логики. При этом исходная и резервные схемы отличаются тем, что они реализуют инверсные выходы и в схеме все сигналы представлены одновременно в прямом и инвертируемом виде. Сравнение выходных сигналов при обычном дублировании осуществляется на основании их равенства, а при двухпроводной логике - на основании их неравенства.

Для обнаружения ошибок в комбинационных схемах, в особенности для арифметических и логических функций, зависящих от двух аргументов, часто применяют метод псевдодублирования. В этом случае данные обрабатываются дважды последовательно во времени, в одинаковом порядке, однако по различным путям и проверяются на равенство с использованием промежуточного запоминающего устройства. При этом вместо требуемого резервирования схемы фактически увеличивается время обработки информации.

На рис.4 изображена схема проверки двухразрядного покомпонентного логического объединения двух операндов при помощи АЛУ. Вначале переключатели S1 и S2 включаются в правое по схеме положение и с выхода АЛУ результат операции записывается в регистре 3 памяти, подключенных к одному из входов схемы сравнения.

На следующем шаге переключатели S1 и S2 включаются в левое положение. Старшие и младшие разряды входных чисел на входе АЛУ меняются местами, а результат операции с выхода АЛУ с также переставленными старшим и младшим разрядом поступает непосредственно на схему сравнения.

Рис. 4. Схема проверки выполнения арифметических операций по методу псевдодублирования

Допустим, что на выходе 3 АЛУ проявляется ошибка "=1" (тождественная единица) и операнды 0110 и 0010 поразрядно складываются в АЛУ по модулю 2. Если переключатели S1 и S2 включены в правое положение, то в регистр 3 записывается число 0100. Если переключатели включены в левое положение, т. е. на выходы АЛУ поступают числа 1100 и 0100, соответственно, а на выходе 1100 (с учетом ошибки =1 на выходе 3 АЛУ). На входы схемы сравнения поступают коды 0100 - с выхода регистра 3 и 0110 - с выхода АЛУ, которые вырабатывают сигнала ошибки.

Встроенный контроллер особенно удобен для организации контроля и диагностики изделий в условиях эксплуатации, но он может оказаться полезным и в производственных условиях, например, при изготовлении БИС микропроцессорных комплектов. Для этого в схему БИС вводятся дополнительные средства, осуществляющие реконфигурацию структуры БИС в режиме тестирования и обеспечивающие, при этом, улучшение управляемости и наблюдаемости всех, входящих в нее триггеров (рис.5, а). В этом случае тестирование сложной БИС превращается в сравнительно простую процедуру для рекомбинационных схем, входящих в БИС.

Для реализации такого подхода необходимы такие средства реконфигурации структуры последовательностной схемы, чтобы сигнал управления переключал все триггеры из рабочего режима в тестовый, при котором все триггеры становятся управляемыми и наблюдаемыми (рис.5, б). Наибольшее распространение среди этих методов получил метод сканирования **** осуществляемый за счет соединения специальных дополнительных элементов памяти в единый сдвиговый регистр, запоминающий внутренне состояние схемы. Сканирование дополнительных элементов памяти можно контролировать и путем адресации к ним и прямого выбора информации о состоянии схему из дополнительных ЗУ.

Все это усложняет БИС, однако обеспечивает экономическую целесообразность. Так для МП серии Intel 8086, имеющего площадь кристалла 3 мм2, введение средств повышения контролепригодности увеличивает площадь кристалла примерно на 20%, что снижает выход годных с 10% до 12(20)%. Вместе с уменьшением количества кристаллов на пластине это приводит к удорожанию производства на 70%. Тем не менее уменьшение стоимости тестирования, которое составляет более 80% трудоемкости изготовления БИС, полностью компенсирует такое удорожание БИС и сложные ПУ разрабатываются таким образом, чтобы обеспечить возможность самотестирования без участия внешнего оборудования и программных средств.

Для реализации самотестирования схем на печатной плате или на кристалле микропроцессора размещают два регистра, запрограммированных на выполнение функций генератора псевдослучайных кодов и сигнатурного генератора. В программируемом ПЗУ процессора храниться специальная тест-программа, которая должна обеспечить последовательное тестирование всех функциональных узлов микропроцессора. Генератор псевдослучайных кодов формирует входную тестовую последовательность, направленную в контролируемые программно-доступные блоки микропроцессора, а сигнатурный генератор снимает с выхода микропроцессора соответствующие контрольные сигнатуры которые в свою очередь сравниваются с эталонными, хранимыми в ПЗУ. Результат сравнения дает информацию микропроцессору о своем состоянии.

Самодиагностика БИС является естественным развитием структурного подхода к проектированию контролепригодных устройств. Сочетание встроенных средств контролепригодности (сквозного сдвигового регистра для сканирования состояний, генератора псевдослучайных тесткодов, регистра сигнатурного анализа) позволяет организовать самотестирование кристаллов, полупроводниковых пластин, микросхем и печатных узлов. Поскольку стоимость средств самодиагностирования остается примерно одинаковой, а затраты на тестирование стандартными методами увеличиваются в геометрической прогрессии, можно полагать, что с ростом насыщенности СБИС (степени интеграции) средства самодиагностики станут обязательными.

Рис. 5. Встроенный контроль БИС МП. Реконфигурация структуры БИС в режиме тестирования с использованием дополнительных триггеров (а) и специального ЗУ (б)

ЛИТЕРАТУРА

1. Б. Хабаров, Г. Куликов, А. Парамонов. Техническая диагностика и ремонт бытовой радиоэлектронной аппаратуры. - Мн.: Издательство: Горячая Линия - Телеком, 2004. - 376 с.

2. Дэвидсон Г. Поиск неисправностей и ремонт электронной аппаратуры без схем.2-е издание.М. Издательство: ДМК Пресс. 2005, - 544 с.

3. Игнатович В.Г., Митюхин А.И. - Регулировка и ремонт радиоэлектронной аппаратуры. - Минск: "Вышэйшая школа", 2002 - 366 с.

4. Н.И. Домарёнок, Н.С. Собчук. "Физические основы диагностики и неразрушающего контроля качества МЭА", - Мн., БГУИР, 2001.

Подобные документы

    Обзор современных схем построения цифровых радиоприемных устройств (РПУ). Представление сигналов в цифровой форме. Элементы цифровых радиоприемных устройств: цифровые фильтры, детекторы, устройства цифровой индикации и устройства контроля и управления.

    курсовая работа , добавлен 15.12.2009

    Способы контроля информационных слов и адресов в цифровых устройствах автоматики. Структурные и функциональные схемы контролирующих устройств. Обеспечение надежности устройств автоматики и вычислительной техники. Числовой аппаратурный контроль по модулю.

    контрольная работа , добавлен 08.06.2009

    Структура и направления деятельности компании ООО "Главный калибр". Изучение основных узлов и устройств вычислительной техники. Конструкторско-технологическое обеспечение производства приспособления. Выполнение работ по проектированию цифровых устройств.

    отчет по практике , добавлен 17.04.2014

    Понятие моделей источников цифровых сигналов. Программы схемотехнического моделирования цифровых устройств. Настройка параметров моделирования. Определение максимального быстродействия. Модели цифровых компонентов, основные методы их разработки.

    курсовая работа , добавлен 12.11.2014

    Алгоритмическое, логическое и конструкторско-технологическое проектирование операционного автомата. Изучение элементной базы простейших цифровых устройств. Разработка цифрового устройства для упорядочивания двоичных чисел. Синтез принципиальных схем.

    курсовая работа , добавлен 07.01.2015

    Автоматизация конструирования. Разработка схем цифровых устройств на основе интегральных схем разной степени интеграции. Требования, методы и средства разработки печатных плат. Редактор АСП DipTrace. Требования нормативно-технической документации.

    отчет по практике , добавлен 25.05.2014

    Основные теоретические принципы работы устройств оперативного контроля достоверности передачи информации. Оборудование и методика расчета достоверности приема информации о снижении цифровых систем передачи ниже пороговых значений для систем сигнализации.

    контрольная работа , добавлен 30.10.2016

    Основные положения алгебры логики. Составление временной диаграммы комбинационной логической цепи. Разработка цифровых устройств на основе триггеров, электронных счётчиков. Выбор электронной цепи аналого-цифрового преобразования электрических сигналов.

    курсовая работа , добавлен 11.05.2015

    Технические характеристики цифрового компаратора. Описание цифровых и аналоговых компонентов: микросхем, датчиков, индикаторов, активных компонентов, их условные обозначения и принцип работы. Алгоритм работы устройства, структурная и принципиальная схемы.

    курсовая работа , добавлен 29.04.2014

    Сущность и параметры надежности как одного из основных параметров радиоэлектронной аппаратуры. Характеристика работоспособности и отказов аппаратуры. Количественные характеристики надежности. Структурная надежность аппаратуры и методы ее повышения.

Для контроля и диагностики цифровых устройств применяются две основные группы методов: тестовые и функциональ­ные. Для их реализации используются аппаратные и программные средства. При тестовом контроле осуществляют подачу специальных воз­действий (тестов), снятие и анализ реакций контролируемой системы (устройства, узла) в то время, когда она, как правило, не работает по своему прямому назначению. Этим и определяется область применения этого вида контроля: в процессе наладки систем, во время регламента, для автономной проверки систем перед началом штатного функционирова­ния.

Функциональный контроль предназначен для контроля и диагностирования системы в процессе ее работы. Однако если, средства функционального контроля имеются в системе, то они, как правило, используются и при тес­товом контроле. Средства функционального контроля обеспечивают:

Обнаружение неисправности в момент ее первого проявления в контрольной точке, что особенно важно в случае, когда действие не­исправности надо быстро блокировать;

Выдачу информации, необходимой для управления работой системы при наличии неисправности, в частности, для изменения (реконфигура­ции) структуры системы;

Сокращение времени поиска неисправности.

При использовании аппаратных средств функционального контроля в состав узла или устройства вводится избыточная аппаратура, которая функционирует одновременно с основной аппаратурой. Сигналы, возника­ющие в процессе функционирования основной и контрольной аппаратуры, сопоставляются по определенным законам. В результате такого сопостав­ления вырабатывается информация о правильности функционирования контролируемого узла (устройства), В качестве избыточной аппаратуры в простейшем случае используется копия проверяемого узла (так называе­мая структурная избыточность), а также и простейшее контрольное соотношение в виде сравнения двух одинаковых наборов кодов. В об­щем случае используются более простые контрольные устройства, зато усложняются способы получения контрольных соотношений.

Для контроля функционирования основного и контрольного устройств применяют методы сопоставления: входных и выходных слов, внутренних состояний и переходов.

Первому методу отвечает дублирование, мажорирование, а также контроль по запрещенным кодовым комбинациям. К нему относятся также методы избыточного кодирования. Избыточное кодирование основывается на введении во входную, обрабатываемую и выходную информации дополнительных символов, которые вместе с основными образуют коды, обладающие свойствами обнаружения (исправления) ошибок. Второй метод используют преимущественно для контроля управляю­щих цифровых устройств.



Для контроля получили распространение следующие типы кодов: код с проверкой на четность, код Хэмминга, итеративные коды, равно­весные, коды в остатках, циклические коды.

Код с проверкой четности (нечетности) образуется путем добав­ления к группе информационных разрядов, представляющих собой простой (не избыточный) код, одного избыточного (контрольного) разряда. При использовании контроля по четности контрольная цифра чет­ности равна «0», если число единиц в коде четное, и «1», если число единиц нечетное. В дальнейшем при передаче, хранении и обработке слово переда­ется со своим разрядом. Если при передаче информации приемное уст­ройство обнаруживает, что значение контрольного разряда не соот­ветствует четности суммы единиц слова, то это воспринимается как признак ошибки. По нечетности контролируется полное пропадание информации, так как кодовое слово, состоящее из нулей, относится к запрещенным. Код с проверкой четности имеет небольшую избыточность и не требует больших затрат оборудования на реализацию контроля. Этот код применяют для контроля: передача/информации между регистрами, считывания информации в оперативной памяти, обменов между устрой­ствами.

Итеративные коды применяют при контроле передач массивов ко­дов между внешним ЗУ и процессором, между двумя процессорами и в других случаях. Итеративный код образуется путем добавления дополнительных разрядов по четности к каждой строке каждому столб­цу передаваемого массива слов (двумерный код). Кроме того, четность может определяться еще и по диагональным элементам массива слова (многомерный код). Обнаруживающая способность кода зависит от чис­ла дополнительных контрольных символов. Он позволяет обнаружить многократные ошибки и прост в реализации.



Корреляционные коды характеризуются введением дополнительных символов для каждого разряда информационной части слова. Если в каком-либо разряде слова стоит 0, то в корреляционном коде это записывается как « 01», если 1, то символом «10». Признаком искажения кодов является появление символов «00» и «11».

Код с простым повторением (контроль по совпадению) основан на повторении исходной кодовой комбинации, декодирование происхо­дит путем сравнения первой (информационной) и второй (проверочной) частей кода. При несовпадении этих частей принятая комбинация считается ошибочной.

Равновесные коды используются для контроля передач данных между устройствами, а также при передаче данных по каналам связи. Рав­новесный код - это код, который имеет некоторое фиксированное чис­ло единиц (весом называется число единиц в коде). Примером равновесного кода является код "2" из "5", из "8". Существует бесконечное количество равновесных кодов.

Контроль по запрещенным комбинациям, в микропроцессорных уст­ройствах используются специальные схемы, обнаруживающие появление запрещенных комбинаций, например, обращение по несуществующему адресу, обращение к несуществующему устройству, неправильный выбор адреса.

Корректирующий код Хэмминга строится таким образом, что к име­ющимся информационным разрядам слова добавляется определенное чис­ло D контрольных разрядов, которые формируются перед передачей информации путем подсчета четности сумм единиц для определенных групп информационных разрядов. Контрольное устройство на приемном конце образует из принятых информационных и контрольных разрядов путем аналогичных подсчетов четности адрес ошибки, ошибочный разряд корректируется автоматически.

Циклические коды применяют в средствах последовательной пере­дачей двоичных символов, составляющих слово. Типичным примером таких средств служит канал связи, по которому осуществляется пере­дача дискретных данных. Особенность циклических кодов, определяющих их название, сос­тоит в том, что если N-значная кодовая комбинация принадлежит данному коду, то и комбинация, полученная циклической перестанов­кой знаков, также принадлежит этому коду. Основным элементом кодирующей и декодирующей аппаратуры при работе с такими кодами служит сдвигающий регистр с обратной связью, обладающий необходимыми циклическими свойствами. Циклический код N-значного числа, как и всякий систематичес­кий код, состоит из информационных знаков и контрольных, причем последние всегда занимают младшие разряды. Так как последовательная передача производится, начиная со старшего разряда, контрольные знаки передаются в конце кода.

Программные средства функционального контроля исполь­зуются для повышения достоверности функционирования отдельных устройств, систем и сетей в том случае, когда эффективность аппаратных средств обнаружения ошибок оказывается недостаточной. Программные методы функционального диагностирования основаны на установлении определенных соотношений между объектами, участвующи­ми в ходе работы для обеспечения обнаружения ошибок. В качестве объектов могут выступать отдельные команды, алгоритмы, программные модули, комплексы программ (функциональных и служебных).

Контрольные соотношения устанавливаются на системном, алго­ритмическом, программном и микропрограммном уровнях.

В основе формирования контрольных состояний лежат два принципа:

Реализация программными средствами различного уровня методов функционального диагностирования на основе теории кодирования, т.е. используется информационная избыточность;

Составление специальных соотношений по различным правилам на основе использования временной избыточности (двойной и многократ­ный счет, сравнение с заранее рассчитанными пределами, усечение алгоритма и др.) путем преобразования вычислительного процесса.

Оба принципа используются для диагностирования всех основных операций, выполняемых процессорными средствами - операций ввода – вывода, хранения и передач информации, логических и арифметических.

Достоинством программных средств функционального контроля является гибкость и возможность использования любого соче­тания для оперативного обнаружения ошибок. Они играют важную роль в обеспечении требуемого уровня достоверности обработки информации. Для своей реализации они требуют дополнительных затрат машинного времени и памяти, дополнительных операций по программированию и подготовке контрольных данных.

Контроль методом двойного или многократного счета состоит в том, что решение всей задачи в целом или отдельных ее частей выполняется два или более раз. Результаты сравниваются и их совпадение считается признаком верности. Используются и более сложные правила сравнения, например, мажорированные, когда за правильный принимаем результат, который соответствует большему числу правильных резуль­татов.

Реализация двойного или многократного счета состоит в том, что определяются контрольные точки, в которых будет проходить cpaвнение, и выделяются специальные объемы памяти для хранения резуль­татов промежуточных и окончательных вычислений, применяются ко­манды сравнения и условного перехода на продолжение вычисления (при совпадении результатов) либо на очередное повторение (при несовпа­дении результатов.).

Контроль по методу усеченного алгоритма, на основе анализа алгоритмов, выполняемых процессором, строится так называемый усеченный алго­ритм. Задача решается как по полному алгоритму, обеспечивающему необходимую точность, так и по усеченному алгоритму, который позволял быстро получить решение, хотя и с меньшей точностью. Затем прово­дится сравнение точного и приближенного результатов. Примером усе­ченного алгоритма является изменение шага решения (увеличение) при решении дифференциальных уравнений.

Способ подстановки . При решении систем уравнений, в том числе нелинейных и трансцендентных, предусматривается подстановка в исходные уравнения найденных значений. После этого производится срав­нение правых и левых частей уравнения с целью определения невязок. Если невязки не выходят из заданных пределов, решение считается правильным. Время, затраченное на такой контроль, всегда меньше, чем на повторное решение. Кроме того, таким способом обнаруживайте не только случайные, но и систематические ошибки, которые двойным счетом часто пропускаются.

Метод проверки предельных значений или метод "вилок". В большинстве задач можно заранее найти пределы ("вилку"), в которых должны нахо­диться некоторые искомые величины. Это можно сделать, например, на основе приближенного анализа процессов, описываемых данным ал­горитмом. В программе предусматриваются определенные точки, где реализуется проверка на нахождение переменных в заданных пределах. Таким методом можно обнаруживать грубые ошибки, которые делают бессмысленным продолжение работы.

Проверка с помощью дополнительных связей . В некоторых случая удается использовать для контроля дополнительные связи между иско­мыми величинами. Типичным примером таких связей являются известные тригонометрические соотношения. Возможно использование корреляционных связей для задач обработки случайных процессов, статической обработки. Разновидностью этого подхода являются так называемые балансовые методы их суть в том, что отдельные группы данных удовлетворяют определенным соотношениям. Метод позволяет обнаруживать ошибки, вызванные сбоями.

Метод избыточных переменных состоит во введении дополнитель­ных переменных, которые либо связаны известными соотношениями с основными переменными, либо значения этих переменных при определенных условиях известны заранее.

Контроль методом обратного счета, при этом по полученному результату (значениям функции) находят исходные данные (аргументы) и сравнивают их с первоначально заданными исходными данными. Если они сов­падают (с заданной точностью), то полученный результат считаете верным. Для обратного счета часто используют обратные функции. Применение этого метода целесообразно в тех случаях, когда реализация обратных функций требует незначительного числа команд, затрат машинного времени и памяти.

Метод контрольного суммирования . Отдельным массивам кодовых слов (программ, исходным данным и т.д.) ставятся в соответствие избыточные контрольные слова, которые заблаговре­менно получают путем суммирования всех слов данного массива. Для осуществления контроля проводится суммирование всех слов массива и поразрядное сравнение с эталонным словом. Например, при передаче данных по каналу связи все закодированные слова, числа и символы передаваемой группы записей суммируются на входе для получения контрольных сумм. Контрольная сумма записывается и передается вместе с данными.

Контроль методом счета записи. Записью называют точно установленный набор данных, характеризующий некоторый объект или процесс. Можно заранее произвести подсчет количества записей, содержащихся в отдельных массивах. Это число записывается в память. При обработке соответствующего массива данных контрольное число периодически проверяется с целью обнаружения потерянных или не­обработанных данных.

Контроль за временем решения задач и периодичностью выдаваемых результатов, является одним из принципов определения правильности хода вычислительного процесса. Чрезмерное увеличение длительности решения свидетельствует о "зацикливании" программы. Этой же цели служат так называемые маркерные импульсы (или метки времени) применяемые в системах реального времени. Маркерные импульсы используют для предотвращения того, что вследствие ошибки в пос­ледовательности команд процессор остановится или будет совершать неправильные циклы вычисления. Они используются как для всего алгоритма, так и для отдельных участков.

Реализация этих способов состоит в определении самого длинного маршрута следования команд с учетом прерываний другими программами. В составе процессора используют программный счетчик времени, на котором устанавливают предельно допустимое время реализации программы. При достижении нулевого значения в счетчике вырабатывается сигнал превышения допустимого контрольного времени, который обеспечивает прерывание программы. Контроль последовательности выполнения команд и программных модулей осуществляется двумя способами. Программа разбивается на участки, и для каждого участка вычисляется свертка (путем счета числа операторов, методом сигнатурного анализа, использование кодов). Затем снимается трасса прохождения программы и для нее вычисляется свертка и сравнивается с заранее рассчитанной. Другой способ состоит в том, что каждому участку присваивается определенное кодовое слово (ключ участка). Этот ключ записыва­ется в выбранную ячейку ОЗУ перед началом выполнения участка, одна из последних команд участка проверяет наличие "своего" ключа. Если кодовое слово не соответствует участку, то имеется ошибка. Узлы разветвляющихся программ проверяются повторным счетом, а выбор только одной ветки - с помощью ключей. Контроль циклических участков программы состоит в проверке числа повторений цикла, за счет организации дополнительного программного счетчика.

При тестовом контроле проверку узлов, устройств и системы в целом осуществляют с помощью специального оборудования - гене­раторов тестовых воздействия и анализаторов выходных реакций. Не­обходимость в дополнительном оборудовании и временные затраты (невозможность штатного (функционирования во время проведения тесте ограничивает использование тестовых методов.

Тестирование со штатной программой , функциональная схема ор­ганизации такого тестирования включает генератор тестов, содержа­щий набор, заранее подготовленных статистических тестов и анализа­тор, работающий по принципу сравнения выходной реакции с эталонной, полученной также заранее специальными средствами подготовки тестов.

При вероятностном тестировании в качестве генератора тестов используется генератор псевдослучайных воздействий, реализованный, например, сдвиговым регистром с обратными связями. Анализатор обрабатывает выходные реакции по определенным правилам (определяет математическое создание числа сигналов) и сравнивает полученные значения с эталонными. Эталонные значения рассчитываются либо получают на предварительно отлаженном и проверенном устройстве.

Контактное тестирование (сравнение с эталоном) заключается в том, что способ стимуляции может быть любой (программный, от генератора псевдослучайных воздействий), а эталонные реакции образуются в процессе тестирования с помощью дублирующего устройства (эталона). Анализатор производит сравнение выходной и эталонной реакции.

Синдромное тестирование (метод подсчета числа переключении). Функциональная схема содержит генератор тестов, который генериру­ет подсчитывает 2N наборов на вход схемы, а на выходе имеется счетчик, который подсчитывает число переключении, если число переключений не равно эталонному значению, то схема считается неисправной.

При сигнатурном тестировании выходные реакции, получаемые за фиксированный интервал времени обрабатываются на регистре сдвига с обратными связями - сигнатурном анализаторе, позволяющем сжимать длинные последовательности в короткие коды (сигнатуры). Полученные таким путем сигнатуры сравниваются с эталонными, которые получаются расчетным путем, либо на предварительно отлаженном устройстве. Стимуляция объекта контроля осуществляется с помощью генератора псевдослучайных воздействий.

В заключении следует отметить, что не существует универсаль­ного метода контроля. Выбор метода должен производиться в зависи­мости от функционального назначения цифрового устройства, структурной организации системы, требуемых показателей надежности и достоверности.

При проведении регламентных работ или во врем предполетной подготовки ИВК основными методами контроля являются тестовые методы. В процессе полета основными являются функциональны методы контроля, а тестирование в основном производится с целью локализации неисправностей, в случае их возникновения.

6. ПРОГНОЗИРОВАНИЕ СОСТОЯНИЯ ИЗМЕРИТЕЛЬНО-ВЫЧИСЛИТЕЛЬНЫХ КОМПЛЕКСОВ ПРИ УЧЕТЕ ВЛИЯНИЯ

УПРУГИИХ СВОЙСТВ НА ОБЪЕКТ КОНТРОЛЯ

А.А. Дружаев, В.Г. Ханбеков

В статье рассматриваются предпосылки к созданию систем контроля и диагностики электронных устройств (СКД ЭУ), области и возможности их применения. Описывается существующая СКД ЭУ Крона-511.

The premises of developing a system for electronic device supervision and diagnosis, the areas and potentialities of its application are considered. The existing Krona-511 system is described.

Проблемы в АСУ ТП

С появлением автоматизированных систем управления технологическими процессами, систем безопасности и аварийной защиты, многоканальных систем авторегулирования (например, системы возбуждения генератора, систем регулирования турбины, других сложных исполнительных механизмов) встала задача мониторинга и проверки их работы. Обычный подход (с мультиметром в одной руке и осциллографом — в другой) здесь недостаточно эффективен так как:

  • самая простая система управления имеет десятки (а иногда и сотни) сигналов, определяющих ее состояние;
  • переходные процессы слишком скоротечны, чтобы их можно было заметить и отследить на экране осциллографа (не говоря уже о том, чтобы точно замерить их параметры);
  • требуется не только измерять мгновенные значения сигналов, но и иметь "картину" событий предшествующих определенному (аварийному) моменту и следующих за ним;
  • необходимо не только фиксировать сигналы, но и "привязывать" их к единым временным отсчетам;
  • возможные аварийные состояния достаточно редки во времени.

Поэтому возникла необходимость создания особого класса систем, позволяющих эффективно решать вопросы контроля и диагностики работы данных устройств.

Основные требования к СКД ЭУ

СКД ЭУ, призванные решать вышеперечисленные проблемы, должны обладать следующими характеристиками и возможностями:

  • отсутствие влияния СКД на объект контроля (как в момент подключения, так и в рабочем режиме);
  • непрерывность работы СКД (от нескольких часов до нескольких суток);
  • дискретность записи входных сигналов до нескольких микросекунд;
  • возможность начала и окончания записи по комбинации состояний входных сигналов;
  • возможность контроля уровней, форм и параметров входных сигналов;
  • фиксация времени "аварии";
  • возможность непрерывной записи входных сигналов в течении времени от нескольких секунд до нескольких часов;
  • хранение информации о предаварийном и поставарийном состоянии входных сигналов;
  • возможность накопления нескольких аварийных ситуаций в запоминающем устройстве;
  • возможность просмотра и анализа записанных сигналов в виде временных графиков.

СКД, отвечающие данным требованиям, позволяют не просто наблюдать и проверять работу ЭУ, они автоматизируют процесс поиска "сбойных", редко появляющихся ситуаций. При этом производится регистрация событий предаварийной ситуации, что крайне важно для диагностики причин аварии.

Изучение временных графиков записанных сигналов позволяет оценить параметры работы ЭУ, их "разброс", тем самым прогнозировать вероятность аварий, отказов. Кроме того, делая регулярные записи, можно наблюдать и фиксировать дрейф параметров с течением времени.

Многоканальная запись с привязкой к единому моменту времени позволяет обнаруживать "разбег" сигналов во времени.

При использовании СКД ЭУ появляется реальная возможность "заглянуть внутрь" электронных систем. Как показывает практика, даже квалифицированный персонал, обслуживающий ЭУ, не имеет точного представления о его реальной работе. Случается, что только с помощью СКД выявляется наличие кратковременных или редких "всплесков", "провалов" или искажений формы сигналов.

Универсальная система контроля и диагностики электронных устройств

Научно-производственным комплексом "КРОНА" разработана СКД ЭУ Крона-511, соответствующая всем требованиям к подобным системам. В основе работы системы положен принцип преобразования сигналов в цифровую форму с постоянной частотой, контролем в реальном времени и записью на диск ЭВМ.

Основные характеристики и отличительные особенности системы:

  • число каналов до 64 (в т.ч. до 20 дискретных), так как модульность построения позволяет увеличивать число каналов по желанию заказчика;
  • подключение непосредственно к контрольным точкам с помощью выносных адаптеров;
  • дискретность записи, на примерах:
  • время записи ограничивается только свободным объемом жесткого диска, на максимальной частоте записи 1Гб достаточно для записи более одного часа работы ЭУ;
  • мониторинг сигналов на экране ЭВМ;
  • мощные средства просмотра и анализа записей, создание и распечатка отчетов, ведение архива записей, возможность экспорта записанных данных в другие программы;
  • встроенные аппаратные и программные средства самоконтроля; быстрая проверка работоспособности всех частей системы.

Подключение к ЭУ

Подключение к ЭУ производится через выносные адаптеры (напряжения, тока, температуры, дискретных сигналов, "сухие контакты") различных диапазонов, при этом расстояние до точки подключения от 2 до 10 метров. Диапазоны измеряемых сигналов: от 0.01 В до 2500 В, от 0.0005 А до 10 А, от 0 °С до 100 °С. Адаптеры обеспечивают гальваническую "развязку" входных каналов между собой, а также от выходных сигнальных цепей ЭУ и от "земли" (до 3500В), кроме того, выдерживают аварийные режимы многократной перегрузки, без нарушения их работоспособности.

Настройка, запись и контроль сигналов

Управление настройкой, записью, обработкой и просмотром сигналов производится программой, работающей в среде ОС MS Windows 95,98.

Программа позволяет быстро настроить "Крону-511" на любой ЭУ. Достаточно подготовить и ввести список входных сигналов в программу. Для сигналов, подлежащих контролю, описывается форма или задается контролируемый параметр (среднее, среднеквадратичное или средневыпрямленное значения). Форма эталона сигнала может быть задана как одна из стандартных (синусоидальной, пилообразной и т.п.), так и записанной с реального ЭУ. Для каждого из контролируемых сигналов задаются "допуски" - допустимые отклонения формы или параметра.

Кроме того, задаются параметры записи — дискретность записи входных каналов, а так же условия синхронизации контроля, начала и останова записи (для каждого из них - до 60 условий). Условием может быть: переход заданного сигнала через заданный уровень, нахождение сигнала выше или ниже заданного уровня, в заданном диапазоне (или логическом состоянии) или вне него. Возможно логическое объединение условий операциями "И" или "ИЛИ".

Система имеет два режима работы: однократный - это запись информации в линейный буфер (до его заполнения), и кольцевой - это запись в буфер с вытеснением устаревших данных более новыми (до останова или аварийного события).

Таким образом, однократная запись позволяет записать и/или проконтролировать работу ЭУ в течение заданного периода времени после запуска. Поэтому применение этого режима эффективно для отображения интервала выхода энергетического объекта на определенный уровень работы.

Поскольку пользователь имеет возможность задать время записи не только "до останова" (аварии), но и "после", кольцевой режим позволяет записать работу ЭУ как до, так и после аварийного/заданного события, что является эффективным для любых исследовательских задач.

Запись информации начинается по команде оператора или по заданным условиям. Параллельно с записью входной информации ЭВМ сравнивает сигналы с эталонами или их контрольными параметрами.

Окончание записи производится по прошествии заданного периода, либо после выполнения заданных условий "несравнения" формы/параметра сигналов или команды от оператора.

В режиме кольцевой записи предусмотрена возможность "авторестарта" записи после останова — то есть повторный запуск
без участия пользователя (количество повторных запусков задается заранее).

Пользователь может вывести на экран до двенадцати "осциллографических окошек", в которых в реальном времени будут "рисоваться" выбранные сигналы.

Просмотр записей

Результаты записи сигналов отображаются пользователю в виде графиков (рис.1.).

Рисунок 1. Просмотр многоканальной записи аварийного момента с наложением эталона сигнала

На экран может быть выведено несколько временных осей, на каждой из которых может быть помещено несколько графиков сигналов (записи сигналов могут быть из разных сеансов, что позволяет оценить дрейф параметров ЭУ с течением времени) (рис.2.). На полученные изображения сигналов могут накладываться эталоны для визуальной оценки.


Рисунок 2. Наблюдение за изменением сигнала с течением времени

На графиках отмечаются моменты синхронизации контроля, несравнения, останова. Кроме того, пользователь может самостоятельно проставить комментарии на графиках.

Выводимые на печать графики оформляются в виде документа. В него включается сводка, в которой указываются названия сеансов и печатающихся сигналов, дата и время начала и окончания записи, а также останова/"несравнения"; и, кроме того, - рассчитанные параметры для указанных графиков.

Встроенный "Редактор эталонов" позволяет "вырезать" часть записи сигнала (при необходимости — отредактировать) и использовать её в дальнейшем как физический эталон для контроля сигналов сложной формы!

Пользователь имеет возможность для копирования сеансов записи с диска СКД ЭУ на другие носители (дискеты, съемные диски большой емкости, сетевые диски). Это позволяет вести распределенную обработку записанных данных несколькими пользователями на разных ЭВМ.

Предоставляется возможность экспорта записанных данных в виде текстового файла. Это позволяет вести обработку данных другими программами (например, собственными АРМ-программами предприятия).

Программное обеспечение модернизировано по результатам многочисленных внедрений. В нем учтены все замечания и пожелания пользователей, полученные в ходе 3-х летней эксплуатации изделий. Постоянно ведется работа по дополнению СКД ЭУ новыми функциональными возможностями.

Заключение

Опыт использования СКД ЭУ "Крона-511" на ряде атомных станций РФ показал возможность построения на данном оборудовании многоканальных систем прогнозирования отказов систем безопасности, аварийной защиты и т.д. Причем вероятность обнаружения потенциально ненадежного канала (узла, элемента) достаточно велика ещё до выхода данных систем на критический уровень.

Современные цифровые РЭУ сложны, включают в свой состав тысячи и десятки тысяч элементов и отказ любого из них может прекратить функционирование РЭС в самый ответственный момент. Физические методы контроля состояния цифровых РЭС, описанные в предыдущих параграфах, имеют недостаточную достоверность, несмотря на все их многообразие и глубину. По достоверности определения работоспособного состояния цифровых РЭУ (ЦУ) помимо физических могут использоваться эффективные тестовые методы диагностики и контроля. Существо тестового контроля составляет тестовый сигнал, подаваемый на ЦУ и вызывающий такую реакцию на входной сигнал, которая свидетельствует о том, что ЦУ находится в работоспособном состоянии.

Контрольный тест ЦУ формально определяется как последовательность входных наборов и соответствующих им выходных наборов, обеспечивающих контроль исправности цифрового узла. Контрольные тесты составляются таким образом, что позволяют обнаружить одиночные константные неисправности S = 0(1) в статистическом режиме.

Работоспособность контролируется следующим образом. На вход ЦУ подаются наборы контрольного теста. Снимаемые с ЦУ выходные наборы сравниваются с эталонными. При совпадении каждого из выходных наборов теста с эталонными наборами ЦУ считается работоспособным. Контрольные тесты составляются на базе анализа принципиальных схем ЦУ. В случае несовпадения сигналов контрольного и эталонного наборов дальнейшая подача теста прекращается и на этом набо­ре диагностируется отказ (неисправность). Диагностирование отказа начинается от того выхода ЦУ, на котором зафиксировано несовпадение контрольного и эталонного наборов. На том логическом элементе схемы, который связан с этим выходом, измеряются выходной сигнал U и входные сигна­лы x1....xk, где k - число входов элементов ЦУ. По измеренным значениям входных сигналов в соответствии с алгоритмом функ­ционирования определяют (Uо - то значение выходного сигнала, которое должно быть: Uo = f(x1, x2, ..., xk). В случае неравенства U ≠ Uo - отказавшим считается сам элемент или гальваническая связь от его выхода. При U = Uo определяются существенные вхо­ды логического элемента, а затем те логические элементы, кото­рые связаны с этими входами. Под существенным понимается та­кой вход элемента, на котором изменение логического сигнала приводит к изменению сигнала на выходе. Описанные измерения выполняются для всех элементов, связанных с существенными вхо­дами. Измерения выполняются до определения неисправности или до соответствующих входов цифрового узла.

В случае если в качестве элемента схемы ЦУ выступает триг­гер, то для него Uo = f(x1,x2,…,xk,U"), где U"- предыдущее состояние триггера. Поэтому Uo определя­ется не на каждом наборе. Для RS-триггера со входами R, S на наборе Uo=l, на наборе U = 0, на наборе Uo может быть 0 или 1 в зависимости от U". Если сигнал Uo можно устано­вить по результатам измерения, то отказ диагностируется путем определения U, измерения его параметров, сопоставления и срав­нения их с параметрами Uo.

Для примера рассмотрим диагностирование отказа в ЦУ (рис. 7.2). Отказ проявляется в виде логического нуля на входе D1/13. Контрольный тест (первый набор) имеет последовательность:

Входы: 1/1 1/15 1/23 1/32 2/2 2/8 2/18 2/33

Выходы: 1/18 2/14

Отказ проявляется в первом наборе контрольного теста.

Последовательность диагностирования по принципиальной схе­ме представлена в табл. 7.1.

Помимо диагностирования ЦУ по принципиальной схеме су­ществует методика диагностирования по таблицам. По этой мето­дике для каждого набора контрольного теста составляются диаг­ностические таблицы, полная и сокращенная. Полная диагности­ческая таблица рассчитана на кратные неисправности; сокращен­ная на одиночные. Сокращенная диагностическая таблица вклю­чает только те элементы ИМС, которые не проверены ни на одном из предыдущих наборов контрольного теста. Таблицы составляют­ся по определенным правилам, которые удобнее рассмотреть на примере (см. табл. 7.2). В строке таблицы печатают: № вых. ЦУ; номер канала установки тест-контроля; № контакта и № разъема; № выходного контакта микросхемы, соединенного с контактом разъема, и № самой микросхемы; №№ вых. и вх. контактов мик­росхемы, поверяемых в данном наборе.

Если в сокращенной таблице часть элементов в середине стро­ки внесена в одну из предыдущих сокращенных таблиц, то в рас­сматриваемой строке эти элементы не отличаются, вместо них ставится многоточие.

Диагностирование отказов по таблице производится следующим образом. Сокращенная таблица выбирается по номеру набора, на котором обнаружено несовпадение. Начинают диагностирование с того выхода ЦУ, на котором зафиксирован неверный результат и производят его последовательно по каждой строке диагностической таблицы. Для каждого из элементов строки таблицы сравнивают значения логических

сигналов на входах и выхо­дах с соответствующими контрольными значениями таблицы. На элементе, у которого информация на выходе не совпадает с конт­рольной, необходимо остановиться. Отказавшим будет либо этот элемент, либо один из элементов, входы которого соединены с вы­ходом этого элемента, либо печатный проводник, соединяющий выход элемента со входами других элементов, источником пита­ния, корпусом и другими узлами. Пример диагностирования ЦУ по таблицам приведен в табл. 5.2, 5.3.

Для обеспечения возможности построения контрольных тестов для ИМ С необходимо, чтобы последние обладали соответствующим уровнем контролепригодности и отвечали в этом плане определенным требованиям. Выполнение требований по контролепригодности сокращает трудоемкость тестов и улучшает их характеристики.

Общие методы повышения контролепригодности ЦУ сводятся к следующим рекомендациям: необходимо уменьшать по возможности количество обратных связей в схеме ЦУ; в первую очередь это относится к внешним обратным связям. Ликвидация обратных связей может быть реализована путем конструктивного разрыва с выводом на контакты разъема;

следует уменьшать тактность схемы ЦУ, т. е. количество эле­ментов памяти в цепи распространения сигнала от входа к выхо­ду, а также ступенчатость, количество элементов схемы в цепи распространения сигналов; следует уменьшать количество микросхем, действующих на один выход ЦУ; необходимо реализовать при проектировании ЦУ установочную последовательность входных наборов, которая переводит все эле­менты схемы в какое-либо устойчивое состояние; следует выводить выход каждого элемента памяти на внешние контакты; следует разрывать структуры типа «сходящееся разветвление».

Описанные технические решения по обеспечению диагностиро­вания ЦУ принимаются в основном при проектировании РЭУиС и самих ИМС. Задача при постановке на эксплуатацию аппаратуры на ИМС проследить за уровнем принятых решений и выполнени­ем тех рекомендаций, которые обеспечивают возможность и эф­фективность диагностирования при техническом обслуживании РЭУ.

Нарушения в работе цифровых устройств (ошибки) могут происходить из-за отка-зов, к которым приводят неисправности, и сбоев, к которым могут приводить помехи.

Контролируемые устройства бывают двух типов: а) устройства хранения (ЗУ) и передачи данных, в которых информация одинакова на входе и выходе; б) устройства обработки данных (АЛУ), в которых входная и выходная информация не совпадают.

Под ошибкой понимают приём «1» вместо переданного или записанного в память «0» и наоборот, а также ошибки в вычислениях.

Различают системы контроля: обнаружения ошибок и исправления ошибок, а также по кратности (числу ошибок в кодовом слове) обнаруживаемых или исправляемых ошибок. Контроль возможен при введения в данные избыточности. Устройства контроля увеличивают стоимость оборудования и уменьшают скорость работы ЦУ.

Различают одиночные и групповые ошибки. Например, в оперативной памяти наиболее вероятны одиночные ошибки, так как каждый бит хранится в своём элементе памяти. Для памяти на жёстком диске наиболее вероятны групповые ошибки, так как дефект повреждает участок носителя с несколькими битами. В линиях связи также наиболее вероятны групповые ошибки, так как помеха выбивает несколько бит.

При рассмотрении методов борьбы с ошибками вводятся понятия:

а) кодовая комбинация – набор из символов принятого алфавита;

б) кодовое расстояние (между двумя кодовыми комбинациями) – число разрядов, в которых эти комбинации отличаются друг от друга;

в) кратность ошибки – число ошибок в данном слове (число неверных разрядов);

г) вес комбинации – число единиц в данной кодовой комбинации.

В теории кодирования определены минимальные кодовые расстояния, необходимые для обнаружения и исправления ошибок при использовании кодов:

d MIN = r ОБН + 1; d MIN = 2r ИСПР + 1; d MIN = 2r ИСПР + r ОБН + 1,

где d MIN – минимальное требуемое кодовое расстояние кода;

r ИСПР – кратность исправляемой ошибки;

r ОБН – кратность обнаруживаемой ошибки.

Для двоичного кода кодовое расстояние d MIN = 1, поэтому он не может обнаружи-вать ошибки.Для обнаружения однократной ошибки минимальное кодовое расстояние должно составлять d MIN = 2, а для её исправления d MIN = 3.

Обнаружить и устранить групповые ошибки значительно сложнее, чем одиночные,

поэтому для борьбы с ними применяют методы, преобразующие групповые ошибки в одиночные, такие как перемежение и скремблирование.

Методы контроля цифровых устройств: а) по «большинству голосов» в мажоритар-ных схемах; б) по модулю 2 (контроль чётности или нечётности); в) с использованием дополнительной функции; в) с использованием помехоустойчивых кодов (Хэмминга, Рида-Соломона, решётчатых и других), обнаруживающих и исправляющих ошибки.




Close